top of page
Writer's pictureGreidi Ajalik

How to Get Started With FPGA Programming? 5 TIPS!

today's blog post I'll be giving you 5 tips on how to get started with FPGA programming.

 

TIP #1 - Motivation

Why do you want to get into FPGA Programming? Is it to become the next top FPGA engineer? Or to get into FPGA mining? Just for fun? Design a new fpga based product? Let me know in the comments section below why you want to learn FPGA programming! I want you to know that FPGA Programming takes time and is not straight forward for everyone as it is a little bit different of regular object-oriented programming, you are making actual physical connections on the board. I’m not trying to discourage you, but I want to be honest with you, you need to be ready to put in a lot of hours to start learning FPGA Programming and I know you can do it if you set your mind on it. And don’t forget to use me as a resource to ask for help! No matter what is your background my goal is to help you get started!

 

TIP #2 - Choosing FPGA Development Board

Now this might be a bit harder to do as it will cost you some money and there are a ton to choose from. But no need to worry, I’ve made your life a little bit easier. In fact, I’ve put together lists of development boards including their prices here. From there you can find several boards for Xilinx and Altera Platforms.


Now, if you are on low budget and you are not sure if FPGA programming is really your thing – I recommend getting the cheapest development board listed there. It is still great for FPGA programming if you are not super sure if you want to stick with this programming. Keep in mind that the cheapest boards don’t have a lot of peripherals and if you want to do some cooler projects you need to buy some additional pluggable chips that cost around 4-40 dollars or even more (depending on what you are trying to get).


On the other hand, if you are driven and you know for sure that you want to do FPGA programming and Embedded software programming as well, I would recommend going with Real Digital’s Blackboard as it has a lot of peripherals on it, which are great for beginners to learn about the basics on FPGAs and SoCs. Or you can get a bit cheaper version, which is this Digilent’s Cora Z7 – great for beginners as well you can get it under 100 bucks shipping and I’m using this one in some of my tutorials as well. But it doesn’t have nearly as many peripherals as the blackboard – which means that you need to put out additional $$$ for getting more capabilities for your board.

 

TIP #3 - Software

If you know you are ready, and you know this is what you want to do or at least you want to try it out, you need to choose what FPGA programming Software platform you want to use – as there are several company’s that produce FPGAs such as Xilinx and Altera. Xilinx is the leading company in FPGAs and they are known for their quality products and innovative SoC chips in various fields. Altera is owned by Intel and they do great FPGA products and are right behind Xilinx in this FPGA race. I would personally recommend going with Xilinx since I’ve been using their products and software for several years now and I’m pretty satisfied with the results and reliability; although, I will not disown Altera and I do encourage you to get products with their chips on them if it will meet your needs.


Both, Xilinx and Altera, have software’s that only work with their chips. Meaning if you buy Xilinx’s FPGA board you can’t use it with Altera’s software and the other way around. Thus, choosing the software is important.

a. For Xilinx there are two free software’s you can use.

i. First one is Xilinx’s Vivado Design Suite, which is meant for the newest generation Xilinx FPGAs/SoCs. Watch this video to see how to install this software.

ii. Xilinx ISE Design Suite Tools for older generation FPGAs.

b. Intel® Quartus® Prime Software Suite Lite Edition, which is free as well for usage.

 

TIP #4 - Create First FPGA Project

Now that you have your development board, go ahead and Create your first project with the development board you got. I do have a step by step video on how to create a first project on a XILINX FPGA so you can check it out right here. Make sure you go through every step literally every step, try even doing it twice. The second time, try to do it on your own so that you wouldn’t need to see me showing every step of the way.

 

TIP #5 - Keep Up the Good Work

What I mean is, the fact that you got an FPGA development board, installed the software, and created your first project is just the beginning, you need to keep learning and practicing as there is sooooooo much involved with FPGA programming. I’ve created a playlist that you can follow under this card to learn about basics of hardware programming and programming FPGAs, you can also learn about the concepts behind the FPGA programming there. There is really a lot you need to learn on your own, don’t be afraid to try out new things and if you get stuck, that’s good, take a break and try a different approach and don't be afraid to ask for help!

456 views0 comments

Comments


bottom of page